Netduino home hardware projects downloads community

Jump to content


The Netduino forums have been replaced by new forums at community.wildernesslabs.co. This site has been preserved for archival purposes only and the ability to make new accounts or posts has been turned off.

dcypher

Member Since 05 Jan 2012
Offline Last Active Oct 13 2013 05:08 PM
-----

Posts I've Made

In Topic: POS Printer serial communication issues

01 June 2013 - 08:11 PM

Hi Zigg, 

 

Thanks for the answer. I've moved the GND to PIN 7 on the RS232 plug for the printer. It should be SIG GND but no improvement. 

Between the printers TX and SIG GND there is 9.8V and 0V between RX and SIGGND. So I asume that this is not a TTL port and the wireing is not crossed correct. Shouldn't a 25pin serial port that the printer have , always be a rs232?

 

I'm still getting garbled results. I've tried to move to pin 11 and 12 but no result either.


home    hardware    projects    downloads    community    where to buy    contact Copyright © 2016 Wilderness Labs Inc.  |  Legal   |   CC BY-SA
This webpage is licensed under a Creative Commons Attribution-ShareAlike License.